FPGA图像处理(5)基础功能:双线性插值(原理)

双线性插值

双线性插值是常用的插值算法,是许多图像处理算法的组成部分。

双线性插值由包围当前目标像素点的4个像素点的数值通过与当前像素点的相对位置偏移进行插值计算。

如下图所示:


由 4 个红点的数值通过双线性插值计算中间绿点的数值 g。

公式如下:


在 FPGA 实现时,为了不进行除法计算,最好通过算法设计将 w×h w\times hw×h 的数值设置为 2 的指数,这样就可以通过截去低位的方法实现除法。

在 sysgen 中使用 Slice 模块截去低位时使用与二维卷积滤波相同的截位办法。

乘法系数及输入的 4 个像素点值根据算法设计取得。

版权声明:本文为CSDN博主「bt_」的原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/botao_li/article/details/100522041

最新文章

最新文章