vivado 如何在程序启动的时候触发 ILA

下面讲解在vivado中怎么抓一个bit下进去后开始运行的初始事件,即startup trigger。操作起来比较复杂,一般情况下都是让要抓的事件延迟发生或者循环发生,方便调试。

如果实在要抓启动时的事件,按下面的步骤:

1.先把有ILA核的bit文件下进去,设置触发好条件

2.运行下面的Tcl命令把触发寄存器的值保存在tas文件中

···
%run_hw_ila -file ila_trig.tas [get_hw_ilas hw_ila_1]
···

3. 打开实现后的implement工程

4.运行下面的Tcl命令把触发设置加到当前内存里已经布线的implement设计上去

···
%apply_hw_ila_trigger ila_trig.tas
···

注意:如果发生错误的话说明ILA核在综合过程中被flattened了,这时需要设置保留hierarchy重新综合实现。

5.直接用Tcl命令生成bit文件,不能点击生成bit命令,这样工程会认为implement发生了改动而全部重新布线。

···
write_bitstream trig_at_startup.bit
···

6. 把刚刚生成的bit下进去,应该就能触发了。

版权声明:本文为CSDN博主「kuangxin_0」的原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接及本声明。
原文链接:https://blog.csdn.net/u010161493/article/details/79210711

最新文章