【tcl学习】vivado write_project_tcl

作者:OpenSLee,FPGA开源工作室微信公众号

每次创建vivado工程时都会生成大量的文件,这样一方面导致占用的磁盘空间很大,另一方面也不利于vivado工程的copy和github上传等操作。这里教大家一个简单又实用的方法,将工程保存成xxx.tcl,只保留ip ,verilog/vhdl和xdc等必要文件即可。

1 write_project_tcl

目的:导出Tcl脚本以重新创建当前项目

语法:

write_project_tcl [‑paths_relative_to ]
                  [‑origin_dir_override ]
                  [‑target_proj_dir ] 
                  [‑force] 
                  [‑all_properties]
                  [‑no_copy_sources]
                  [‑no_ip_version]
                  [‑absolute_path] 
                  [‑dump_project_info] 
                  [‑use_bd_files]
                  [‑internal] 
                  [‑quiet] 
                  [‑verbose] 
                  

使用说明:

举例:

下面的示例为被命名recreate.tcl对于当前项目:

write_project_tcl recreate.tcl

以下命令导出当前项目的Tcl脚本并写入所有属性,包括默认值或非默认值:

write_project_tcl -all_properties recreate.tcl

2 演示

最新文章

最新文章