【科普贴】基于FPGA三大串行通信接口

三大串行通信接口

在通信中,通用串行总线通信接口是以下三种:
1、UART:rx(数据接收线),tx(数据发送线);
2、SPI:cs_n(片选信号),sck(串行时钟线),sdi(数据输入线),sdo(数据输出线);
3、IIC:sda(数据线),scl(时钟线);
其中UART全称:通用异步收发传输器

异步和同步的定义:数据采样时,如果是时钟沿采样则为同步,否则为异步。
UART、IIC是异步通信;
SPI是同步通信。

通信又分为全双工、半双工、单工。
UART、SPI为全双工通信;
IIC为半双工通信。

三大通信协议在FPGA中典型应用

1、FPGA与PC机的慢速通信一般采用UART的通信方式,结构框图如下:

2、FPGA与EEPROM的通信方式一般采用IIC的通信方式,结构框图如下:

3、FPGA与FLASH的通信方式一般采用SPI通信方式,结构框图如下:

接下来的文章将分别对这三大协议的FPGA实现做出详细的介绍并且提供详细的代码。

版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。
本文链接:https://blog.csdn.net/zhangningning1996/article/details/103836427