Vivado ML(机器学习) 2021尝鲜

本文转载自:OpenFPGA微信公众号

参考:UG973 (v2021.1)

图:赛灵思全新 Vivado® ML 版

Vivado 2021.1这个新版本的新增加的一些特点:
1、在IP这个层面的功能的增强,主要体现在新增加了一个BDC,也就是block design container这个功能,它可以使得我们在一个block里头去实例化另外一个block;
2、Vivado ML 版支持基于机器学习的算法以加速设计收敛。该技术具备基于机器学习的逻辑优化、延迟估算和智能设计运行,能够自动执行策略以减少时序收敛迭代,将复杂设计的编译时间缩短了 5 倍,同时还提供了突破性的平均达 10% 的结果质量提升。

其他详细新增功能详见《Vivado ML 助力设计流程再提速》。

兼容的第三方工具,这个很重要:

安装过程从简,参考《Vitis尝鲜(一)Vitis尝鲜(二)》,下面说下几个注意点:

DISK USAGE SETTING

这一步是在Vivado 2020中发现,如果勾选了图中位置,会一直卡在安装结束位置不动,不知道这一版有没有把这个BUG修改,这里防止出问题,我安装时把该位置勾选取消。


组件选择

各个组件选择界面,这里会有很多人纠结选择哪个,我们先看下具体选择不同版本安装的组件,如下如所示:

选择Vitis组件如下所示

选择Vivado

选择Vivado组件如下:
选择Vivado时候会有选择标准版还是专业版,这两者的区别主要是支持的器件种类不同,具体如下:


安装的组件如下:

通过如上对比得出两个结论:1、Vitis会安装Vitis组件,但是Vivado版本不一定是全功能的;2、Vivado安装时不安装Vitis,但是Vivado一定是全功能的。
综上,如果想体验ML版本,建议选择Vivado安装。

注意事项

安装控件及用户选择需要注意:

license

License选择界面如下,和其他版本没区别。

安装完毕,桌面快捷方式

测试对比

接下来测试一下编译速度提升多少

测试环境:
处理器:I7-8750H

内存:16GB

系统:WIN10Vivado

版本:2018.3 VS 2021.1

步骤:
1、先在Vivado 2018.3中打开工程;

2、reset_project;

3、生成比特流,记录时间;

4、同样的工程,在Vivado 2021.1 打开;

5、升级IP;

6、reset_project;

7、生成比特流,记录时间。

这次对比一共两个程序,小型程序和中小型程序两种。

1、小型程序


时间:2分26秒

时间:2分20秒

中小型程序:
Vivado 2018.3
时间:15分43秒

Vivaso 2021.1
时间:10分41秒

具体如下:

总结

通过简单的测试,可以看到整个过程Vivado 2021.1有所提升,提升多少,由于样本数量,还没办法得到具体的数值,最后关于需不需要升级,我的建议是尝鲜可以,可不要“贪杯“哟,新版本必然会伴随着一些BUG,还是等后续的2021.2或者2021.3版本。

最新文章

最新文章