在 Vivado 将程序烧写固化到 flash

本文转载自:Linest-5的CSDN博客

注:本文由作者授权转发,如需转载请联系作者本人

程序的固化
什么是程序的固化?

通常对FPGA下载程序时,会采用JTAG口下载,完成好HDL设计,并且验证无误后,对设计文件进行综合,布局布线以及生成比特流文件,而FPGA开发板要想工作,需要将该文件烧写进FPGA芯片中。但是FPGA是基于RAM工艺(如LUT的实质就是RAM),因此会掉电丢失,再次上电后需要重新加载bit流。一般FPGA的外围会有一个非易失性存储器:Flash或SD卡等。可以将程序加载进去,这样的话,下次上电后可以直接从该存储器中加载程序,这就是固化的过程。

在之前的一篇文章中,描述了如何进行在 SDK 端对程序的固化,这篇文章针对如何在 Vivado 端对程序固化,我们都知道烧写进FPGA的程序一旦断电就会使程序消失,下一次使用的话只能重新烧写程序,这样过于麻烦,不利于程序的调试,因此需要将程序烧写进flash,这样下次上电就可以将程序直接启动,即方便又快捷。

生成比特流文件
首先打开工程,在之前的工作都已经准备完毕后,直接生成比特流

生成完之后进行连接器件,点击Open target,再点击进行Auto Connect

软件便能自动识别到器件,之后在点击启动器件program device

启动配置生成的比特流文件以及进行 debug 的 ltx 文件,一般来说软件会自动选择的

生成 MCS 文件
完成启动器件后,接下来便是生成存储的配置文件,即 mcs 文件和 prm 文件,点击上面菜单栏的 tools,并点击下方红圈中的选项。

进入到配置界面

1、选择生成MCS文件;

2、选择器件flash对应的型号,可以通过查询器件的原理图;

3、命名MCS文件,主要同时添加MCS文件所存放的位置,否则会默认将文件放置C盘中;

4、接口类型,这里一般默认;

5、勾选加载比特流文件

6、选择比特流的路径,存放在 run 文件中;

7、在此选项中就可以看到 MCS 文件存放的路径

最后点击OK即可

加载 MCS 文件
最后将上一步生成的 MCS 文件添加至器件中,右击器件名点击add configuration memory device

进入到配置界面,同样选择flash的型号点击ok即可。

最后选择刚刚生成的 MCS 问件,其余保持默认即可,点击 apply 和 ok即可。

最后等待文件烧录到flash完成即完成程序的固化。

最新文章