xilinx 7系列FPGA之XADC简介

今天咱们来聊一聊FPGA里面的XADC功能。XADC即Xilinx公司的FPGA里集成的一个ADC模块、温度传感器、电压传感器的集合。在7系列FPGA里,除了少数spartan系列的低端FPGA没有XADC外,其它所有的7系列FPGA里都有XADC模块。

XADC包含2个通道的模拟差分输入、每个通道的采样率都为1MSPS,其功能模块如下图所示:


从上图可以看出,XADC默认监测FPGA内的温度以及所有的电压,还可以接收来自FPGA专用模拟差分管教VP_0\VN_0的模拟差分信号,还可以接收FPGA其他普通数字\模拟混合管脚VAUXP\VAUXN[15:0]的16路模拟差分输入,因此XADC可以最多处理17路外部模拟信号。

XADC的输出通过JTAG口可以直接被FPGA开发工具读取并实时监测,并且借助Xilinx CORE Generator还可以生成XADC的IP核,加载到FPGA逻辑代码里,随时供用户读取FPGA的温度、电压等信息。

文章来源:XiaoQingCaiGeGe的博客
声明:本文由原创博主授权转发,如需转载请联系博主

最新文章

最新文章