面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量产速度文件更新

描述
在《Zynq UltraScale+ MPSoC 数据手册》(DS925) 中,XAZU7EV-1Q 器件与 XAZU11EG-1Q 器件的最低量产软件和速度规格已从 Vivado 工具 2019.1.1 v1.26 更新至 Vivado 工具 2020.1.1 v1.30。

汽车级 Zynq UltraScale+ 器件的速度文件参数在 2020.1.1 版中已更新,纠正了极端情况下的静态时序问题。

更新包括纠正了 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的互连延迟以及速度/温度等级。

解决方案
对于 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/温度等级设计,请使用 Vivado Design Suite 2020.1.1 或更高版本。

对于使用 Vivado 工具 2019.1.1 - 2020.1 构建并已部署的 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/温度等级设计,赛灵思认为,根据赛灵思器件特性,在 Vivado 工具 2019.1.1 - 2020.1 中满足时序约束的大部分设计都具有足够的裕度以供在量产器件中正常运行。

您可通过以下方式使用 Vivado 工具 2020.1.1 或更高版本来评估时序问题对于您使用 Vivado 工具 2019.1.1 - 2020.1 所构建的设计产生的影响:在 Vivado 工具 2020.1.1 或更高版本中,对已完全实现的设计检查点 (.dcp) 文件重新运行时序分析。

评估步骤:

如果您的比特流是使用 Vivado 工程模式生成的,则必须找到已完全实现的 .dcp 文件。

通常,已完全实现的 .dcp 文件应位于如下某一路径中,具体取决于布线后是否已启用 phys_opt_design。

project_myDesign.runs/impl_1/myDesign_routed.dcp

project_myDesign.runs/impl_1/myDesign_postroute_physopt.dcp

例如,如果已完全实现的 .dcp 文件为 myDesign_routed.dcp,则上述命令应如下所示:

#Open the final dcp for the finished design

open_checkpoint project_myDesign.runs/impl_1/myDesign_routed.dcp

#Report timing

report_timing_summary -file myDesign_timing_summary_routed.rpt

如果出现时序违例,则必须在 Vivado 工具 2020.1.1 或更高版本中对设计进行重新编译以达成时序收敛。

用户可以重新运行 route_design 步骤,或者也可以重新运行完整的实现过程。

最新文章

最新文章