Vivado Design Suite 用户指南:编程和调试(中文版) (v2020.2)

本文档旨在记述用于对赛灵思 FPGA 设计进行编程和调试的 Vivado® 工具。FPGA 编程包括从已实现的设计生成比特流文件和将此文件下载至目标器件。本文档还描述了如何进行设计调试,包括 RTL 仿真和系统内调试。

评论

DUOXIE

最新文章

最新文章