Vivado ML 2021.2 发布更新

Vivado ML 2021.2新增功能

器件支持:

以下设备均已在 Vivado ML 企业版及标准版中启用

Artix UltraScale+ 器件:XCAU20P 和 XCAU25P

Vitis HLS:

时序与 QoR 增强

  • 为用户输入高层次吞吐量限制提供支持
  • 提高 HLS 时序估算精度:当 HLS 报告时序收敛时,Vivado 中的 RTL 综合也应该满足时序要求
  • 易用性提升

    在 C 语言综合报告中添加接口适配器报告:

  • 用户需要知道接口适配器对其设计的资源影响
  • 接口适配器具有可影响设计 QoR 的可变属性
  • 其中一些属性具有应该向用户报告的相关用户控件
  • 提供 bind_op 和 bind_storage 报告的文字版本
  • 分析与报告

    函数调用图查看器有一些新特性:

  • 全新鼠标拖动式缩放功能
  • 全新概览特性,不仅可显示全图,而且用户还可放大整体图形的各部位
  • 所有函数及环路都与它们的仿真数据一起显示
  • 现已在仿真后提供一个新的时间轴跟踪查看器该查看器可显示设计的运行时概况,并允许用户保留在 Vitis HLS GUI 中。

    IP增强功能

  • Versal Premium GTM 支持 600G Interlaken 预设
  • Versal Premium GTM 支持 100GE 预设
  • 全新 Versal Premium 集成 600G Interlaken 仿真支持
  • Versal 器件现在提供 EPC IP 支持
  • XPM 内存和 XPM FIFO 现在支持混合 RAM 模式,
  • 使用‘ram_style = "mixed"'
  • 增加的无损压缩 IP 支持增强的解压缩模式,可针对增加的 LUT 成本将吞吐量提高一倍
  • 针对 Artix UltraScale+ FPGA 发布了 PCIe 子系统支持
  • 针对 Versal ACAP 扩展了 PCIe 子系统器件支持
  • 实现/时序收敛

    智能设计运行(IDR)

  • 改善了报告内容:
  • -删除了不相关的表项和不活跃的链接

    -为所有阶段增加了设计统计数据

  • 右键点击菜单进行选择,可生成比特流
  • 右键点击菜单进行选择,可终止运行
  • 基于 ML 的 placer 指令预测

  • 在 place_design 运行时位置,最多可以预测 3 个最高性能的 placer 指令
  • 使用值为 Auto_1、Auto_2 和 Auto_3 的 place_design -directive 选项
  • 新增功能:https://china.xilinx.com/products/design-tools/vivado/vivado-whats-new.html
    功能与特性:https://china.xilinx.com/products/design-tools/vivado.html
    下载:https://china.xilinx.com/products/design-tools/vivado/vivado-ml.html
    用户指南白皮书:http://xilinx.eetrend.com/files/2021-11/wen_zhang_/100555427-227652-cug9...

    最新文章

    最新文章