串口通讯

详解FPGA的串口通讯(UART)

UART作为异步串口通信协议的一种,工作原理是将传输数据的每个字符一位接一位地传输。其中每一位(Bit)的意义如下: