58G-PAM4

【视频】Xilinx 通过两通道 100G 以太网展示了 58G GTM 收发器的能力

通过 Xilinx 58G 驱动 GTM 收发器和 Virtex® UltraScale+™ 集成强化 100G 以太网子系统,在两个通道上演示 100G 以太网。 该视频概述了 GTM 收发器如何使传统硬件支持 58G PAM4

【DesignCon 2019视频】:采用 TE 下一代连接的 Xilinx 32G NRZ GTY 和 58G PAM4 GTM

在 DesignCon 2019 上,Xilinx 和 TE 展示了一对演示。首先,UltraScale + FPGA 中的 32G Xilinx GTY NRZ SERDES 通过 TE Sliver 连接器运行。 接下来,Virtex UltraScale + GTM PAM4 收发器通过 TE SFP-DD 连接器和直接连接铜缆发送数据

如何在保留原有基础设施的前提下部署先进的58G PAM4技术?

云服务和5G的推出推动了数据流量的大幅增长,这为满足网络日益增长的带宽要求带来了挑战,路由器和交换机接线端口密度、光学标准的扩展以及光纤网络带宽的更新是成本能够满足带宽需求的主要制约因素,转换为58G收发器是非常重要的一步,在相同的条件下它能够实现400G以上的数据传输速率

【视频】Xilinx 在两个通道上展示了具有 100G 以太网的 58G 驱动 GTM 收发器

通过 Xilinx 58G 驱动 GTM 收发器和 Virtex UltraScale+trade; 集成强化 100G 以太网子系统,在两个通道上演示 100G 以太网。该视频概述了 GTM 收发器如何使传统硬件支持 58G PAM4

【视频】Xilinx 在 XDF 2018 (硅谷场)上演示了在原有 100G 铜箔上驱动 58G PAM4 的 Virtex UltraScale+ GTM 收发器

Virtex UltraScale+ 58G PAM4 FPGA 能够在最具挑战性的信道上传输和接收数据。在 XDF 硅谷场的现场演示中,通过超过 5 米的 QSFP28 直接连接铜线传输了 58Gb/s 的信息,由 GTM PAM4 收发器接收。经过该加强通道并在收发器最大速率下运行后,在 XDF 上经过几天的现场运行,没有发现前向纠错后的错误。