AD9639

FPGA与ADC的SPI配置实战篇(2)——AD9639三线SPI配置

本篇咱们继续以ADI公司的多通道高速ADC—AD9639为实例,向大家演示FPGA是如何通过SPI协议向该ADC读写寄存器配置数据的。如下图所示为AD9639的功能框图,不难发现其SPI接口既可以实现三线模式也可以实现四线模式,本篇将以上篇的4线模式为背景,演示3线模式

FPGA与ADC的SPI配置实战篇(1)——AD9639四线SPI配置

本篇以ADI公司的多通道高速ADC—AD9639为实例,向大家演示FPGA是如何通过SPI协议向该ADC读写寄存器配置数据的。如下图所示为AD9639的功能框图,不难发现其SPI接口既可以实现三线模式也可以实现四线模式,本篇将演示4线模式