Aurora

Vivado中Aurora IP core调用

Aurora 协议是Xilinx公司针对高速传输开发的一种可裁剪的轻量级链路层协议

多Aurora IP核的设计开发

本文重点说明一下同一工程下多Aurora IP核如何配置使用

一天上手Aurora 8B/10B IP核(4)----从Streaming接口的官方例程学起

Xilinx的技术生态做的非常好,基本上所有常用的IP核都有官方例程供开发者学习,咱不用白不用,今儿咱就一起白嫖他一手----从官方例程开始学习如何具体使用这个IP核。

一天上手Aurora 8B/10B IP核(3)----时钟、复位与状态指示

IP是什么?简单来讲,IP就是Xilinx或者第三方开发者把自己的逻辑模块封装成一个黑盒子,然后拿出来给别人用。那什么又是黑盒子?

一天上手Aurora 8B/10B IP核(二)----时钟、复位与状态指示

IP是什么?简单来讲,IP就是Xilinx或者第三方开发者把自己的逻辑模块封装成一个黑盒子,然后拿出来给别人用。那什么又是黑盒子?黑盒子就是你看不到里面的东西,你只能看到外面的接口。

一天上手Aurora 8B/10B IP核(一)----Aurora概述及数据接口(Framing接口、Streaming接口)

Aurora 协议是一个用于在点对点串行链路间移动数据的可扩展轻量级链路层协议(由Xilinx开发提供)。这为物理层提供透明接口,让专有协议或业界标准协议上层能方便地使用高速收发器

Xilinx平台Aurora IP介绍(四)Example Design介绍

多看一些example design之后,你就会发现都是熟悉的配方~

Xilinx平台Aurora IP介绍(三)Aurora配置及接口介绍

开门见山的说,跟DDR/PCIE/GTX这种复杂的IP相比,Aurora配置那是相当的简单。走着。

Xilinx平台Aurora IP介绍(二)时钟与复位

对于我们使用Xilinx或其他的成熟IP而言,IP相当于一个黑匣子,内部实现的逻辑功能我们知道,但是控制不了,只能默认OK;一般而言,成熟IP都是经过反复验证和使用,确实没有什么问题。所以,IP能不能用,首先要做的就是确保时钟和复位。

Xilinx平台Aurora IP介绍(一)Aurora基础知识

Aurora 广泛用于需要背板、电路板间和芯片间连接的应用。细分市场包括有线通信、存储、服务器、测试测量、工业、消费和医疗等。此外,Aurora 也可用作嵌入式处理器应用中的调试端口。