AXI-Stream

FPGA项目开发之AXI Stream FIFO IP

Xilinx Vivado中提供了AXI FIFO和AXI virtual FIFO类似IP,这篇文章主要通过实例来讲解这两个IP的使用方法。

HLS IP核AXI Stream接口问题总结

两个AXIStream接口类型的IP核之间有时候需要加入一个Video(具有HS\VS)接口的IP核,这就需要将AXIStream类型的数据转换为Video类型,通过Video接口的IP核之后,又要将Video接口转换为AXIStream

AXI-Stream代码详解

AXI4-Stream跟AXI4的区别在于AXI4-Stream没有ADDR接口,这样就不涉及读写数据的概念了,只有简单的发送与接收说法,减少了延时,允许无限制的数据突发传输规模。AXI4-Stream的核心思想在于流式处理数据。