IP综合

【Vivado那些事】关于reset_project和reset_project -exclude ip使用

之前群里有一些关于在Vivado中IP综合时出现各种问题,大部分通过reset_project这个TCL命令解决,今天就简单分析一下reset_project这个命令的作用。