Vivado

Vivado 是赛灵思(Xilinx)公司推出的一款综合性的设计工具套件,用于 FPGA(现场可编程门阵列)和 SoC(系统级芯片)的设计、开发和验证。它提供了一系列功能强大的工具,帮助工程师在各种应用领域中实现高性能、低功耗的硬件设计。

安装过程需要277GB的Vivado各个模块分别需要多大空间

这篇文章我们看下Vivado的安装包中,到底哪些东西最占空间?

Vivado使用入门(四)仿真

本文将详细介绍vivado仿真功能,包括如何使用、测试激励文件的撰写,以及如何调用第三方仿真测试软件。

Vivado使用入门(三)添加或新建约束文件

本文将详细介绍vivado添加或新建约束文件步骤和方法。

Vivado使用入门(二)新建或添加设计输入文件

本文将详细介绍Vivado软件的使用方法,帮助初学者快速掌握AMD FPGA开发

Vivado使用入门(一)创建工程

本系列将详细介绍Vivado软件的使用方法,帮助初学者快速掌握AMD(Xilinx) FPGA开发。

Vivado BD模式下导入RTL,如何实现聚合自定义的AXI接口?

如何在导入Block Design后,也一样实现聚合在一起?

Vivado综合属性SRL_STYLE怎么用?

本文将详细介绍SRL_STYLE的工作原理、可选值及其在实际设计中的应用代码示例。

Vivado中IP什么情况下要用OOC综合方式?

在vivado中IP有两种综合方式“Global”和“out of context”

vivado如何生成一个模块的带原语的网表

有时候我们想生成一个网表文件,但vivado默认是会生成一个dcp的文件

使用 Vivado 仿真库 - UNIMACRO 库

本文详细描述了 UNIMACRO 库。