Vivado2018 中使用modelsim联合仿真

作者:碎碎思,来源: OpenFPGA微信公众号

vivado 中使用modelsim联合仿真

安装环境:WIN10 64位
软件版本:Vivado 2018.2 + Modelsim_win64_SE_10.5-se

modelsim-win64-10.4-se+Vivado 2014.2 相关设置,请移步:https://blog.csdn.net/weixin_41967965/article/details/82688391
在Vivado设置时还有一些不同地方。

1.安装ModelSim SE 10.4及破解
相关安装文件链接:链接:

10.4:

链接:https://pan.baidu.com/s/1imDJ2Ox2qHZEy9nZmOwODA

提取码:k1uu

10.5+10.6:

链接: https://pan.baidu.com/s/1_FRh2Kej9lav31MOXVfNpg

提取码: am9m

其中10.6c为pe版本的,试装了一下,可以进行安装和破解,但是Vivado没办法进行编译,具体原因不明。10.4c也进行了安装和破解,但是Vivado2018对其不支持了,最低的版本貌似就是10.5了,所以本次教程使用10.5c进行安装和联合仿真。

各个版本之间的区别如下:
ModelSim分几种不同的版本:SE、PE、LE和OEM,其中SE是最高级的版本。而集成在 Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。MODELSIM SE是主要版本号,也是功能最强大的版本,支持对Verilog和VHDL语言的混合仿真。除了主要版本外,Mentor公司还为各大FPGA厂商提供 OEM版本:XE是为Xilinx公司提供的OEM版,包括Xilinx公司的库文件;AE是为Altera公司提供的OEM版,包含Altera公司的库文件;在用特定公司的OEM版进行仿真时不需要编译该公司的库文件,但是仿真速度等性能指标都要落后于SE的版本。

SE版和OEM版在功能和性能方面有较大差别,比如对于大家都关心的仿真速度问题,以Xilinx公司提供的OEM版本ModelSim XE为例,对于代码少于40000行的设计,ModelSim SE 比ModelSim XE要快10倍;对于代码超过40000行的设计,ModelSim SE要比ModelSim XE快近40倍。

ModelSim SE支持PC、UNIX和LINUX混合平台;提供全面完善以及高性能的验证功能;全面支持业界广泛的标准;Mentor Graphics公司提供业界最好的技术支持与服务。

ModelSim PE (Personal Edition) is the industry-leading, Windows-based simulator for VHDL, Verilog, or mixed-language simulation environments offering a very cost effective solution for
RTL and gate level simulation;

ModelSim DE (Deluxe Edition) includes full PE functionality plus PSL & System Verilog assertions, Code Coverage, Enhanced Dataflow, Waveform Compare, and support for Xilinx SecureIP as standard;

ModelSim SE (System Edition) combines high performance and high capacity with the code coverage and debugging capabilities required to simulate larger blocks and systems and attain ASIC gate-level
sign-off. ModelSim SE offers the ability to simulate very large
designs.

安装破解:

(1). 安装软件,注意:安装目录避免出现中文或空格,安装过程一直点击 Yes 即可。

(2). 把 MentorKG.exe、mgls.dll以及patch64_dll.bat
一起拷贝到modelsim安装目录的win32或win64下,例如【D:\Software\Modelsim_10.5\win64】。

(3). 进入安装目录下的win64 文件夹找到mgls.dll mgls64.dll两个文件,去掉只读属性。

(4). 运行patch64_dll.bat,产生license后,放到任意目录下,例如【D:\Software\Modelsim_10.5\】。


(5). 新建用户环境变量【MGLS_LICENSE_FILE】,变量值为license放置的目录,例如:【D:\Software\Modelsim_10.5\LICENSE.TXT】。在win10中,右键此电脑,选属性,再选高级系统设置,选环境变量即可

2.vivado与modelsim的联合仿真
一、器件库编译。首先,在modelsim安装路径中新建一个名为vivado2018_lib的文件夹(路径和文件名可改),如图下图所示。

接着选择vivado菜单“Tools”——>“Compile Simulation Libraries…”命令,如下图所示。

在弹出的对话框中设置器件库编译参数,仿真工具“Simulator”选为ModelSim,语言“Language”、库“Library”、器件家族“Family”都为默认设置All(当然也可以根据自己的需求进行设置),然后在“Compiled library location”栏设置编译器件库的路径,这里选前面新建的vivado2018_lib文件夹,此外在“Simulator executable path”栏设置modelsim执行文件的路径,注意箭头部分不要勾选,如下图所示。

这一步使用TCL命令如下:
compile_simlib -directory
-simulator
-simulator_exec_path

例如:a)仿真库编译到D:/xilinx_sim_lib;
b)仿真工具使用Modelsim;
c)ModelSim安装在C:/modeltech64_10.2/win64;

那么完整的tcl命令就是:
compile_simlib -directory E:/modeltech64_10.4/vivado2018_lib -simulator modelsim -simulator_exec_path E:/modeltech64_10.4/win64

设置好参数后点击“Compile”按钮开始器件库的编译。下图所示为正在编译器件库的过程中。器件库编译结束后给出编译报告,从报告中看出0个警告和0个错误,如图所示。

打开modelsim安装路径下的vivado2018_lib文件夹,便可以看到已经产生了器件库,如图所示。

生成如上图所示文件,要将modelsim设置为用户全局变量,那么下一次就不需要在此编译库了。步骤如下图所示,将变量名设置为MODELSIM,变量值为modelsim.ini的路径。


二、在vivado中设置modelsim(即第三方仿真工具)的安装路径。在vivado菜单中选择“Tools”——>“Setting…”,选择“Tool setting”——>“3rd Party Simulators”选项卡,在“ModelSim”栏中输入或选择modelsim工具的安装路径,如下图所示。


三、在vivado中关联了modelsim软件和编译器件库之后,就可以在vivado中调用modelsim软件对设计进行仿真了。不过,在对每一个新建的工程设计进行仿真时需要进行一些设置。选择vivado菜单“Flow”——>“Simulation Settings…”命令或点击流程向导中选择“Simulation Settings…”命令,分别如图所示。

在弹出的对话框中,设置仿真工具为modelsim、仿真语言为verilog或VHDL或混合,当设计中用到vivado中自带的仿真工具时,还要指定器件库的路径,如图10所示。关于仿真的其他参数在这里就不作介绍了。

设置好仿真参数后,如果设计文件和仿真文件也准备好,那么就可以开始对设计的功能进行仿真了。选择菜单“Flow”——>“Run Simulation”——>Run Behavioral Simulation选相应的仿真类型或点击流程向导中的“Run Simulation”——>选相应的仿真类型进行仿真,如图所示。

最新文章

最新文章