vivado使用——如何用脚本添加文件

作者: 饿狼传说 来源:FPGA的现今未微信公众号

在使用vivado的过程中,如何添加设计文件?通常的方法是通过GUI界面添加,添加文件的方式一般有2种,一种是添加单个的文件,一种是添加整个文件夹,如下图所示:

图.png

有时候需要添加的文件很多,通过GUI界面添加就会显得比较麻烦,如何通过TCL脚本来添加了,也有2种方法,第一次是添加单个文件,比如:

add_files ../../01_rtl/usr_top.svadd_files ../../01_rtl/hpi_reg.sv

这种方法比较常用,但是当文件多的时候,写这个脚本也是一件麻烦的事情,需要把所有的设计文件都写一次。这里介绍第二种偷懒的方法,即添加整个文件夹下所有的目标文件,比如:

add_files -norecurse [glob ../../01_rtl/*.sv]

采用这样的方法,在以后的项目开发过程中,如果要删除文件,或者添加文件,都不需要修改TCL脚本,只要维护好文件夹的关系即可。

另外,关于add_files和import_files这2个命令是有区别的,add_files只是“引用“原文件,并不是把源文件拷贝到工程当中,import_files则是把源文件复制一份到当前的工程目录中,为了偏于管理我们的代码和工程,建议使用add_files这种方式。

最新文章