Modelsim下uvm环境搭建

01. 下载modelsim软件

下载modelsim,这里用的是modelsim10.4版本。链接:https://pan.baidu.com/s/1qSZ_X9UhrII3E2N6-yOsuw

提取码:6aof

完成下载和安装,在安装文件夹中可以看到uvm-1.1d,这是我们使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,这是已经编译过的uvm库。

02. 编写文件

以“hello,world”为例,编写uvm源文件如下:

`timescale 1ns/1ps
include
"uvm_macros.svh"
import uvm_pkg::*;
module hello;
initial begin
`uvm_info("info", "Hello world!!!", UVM_LOW)
end
endmodule

然后编写一个windows下的执行程序:run.bat。

其中只有一句话:

这个就是运行modelsim脚本文件sim.do。

Sim.do文件内容如下:

第一句话是设置uvm环境变量,指定uvm的dpi位置。

第二句话是创建work工作目录。

第三句话是编译源文件,并且通过-L指定几个编译库。

第三句是执行仿真,调用uvm库uvm_dpi。

第四句话是运行多长时间:100ns。

03. 执行

双击run.bat,然后会调用modelsim进行编译和仿真。


文章转载自:AI加速

最新文章