【工程师分享】使用Vivado,vck190 BIST 测试,遇到错误“IDCODE/SW CHECK: FAILED”,可以忽略

作者: HankFu,文章来源:博客园

按Xilinx的XTP613 - VCK190 Board Interface Test v2.1,运行BoardUI.exe,执行vck190 BIST 测试。注意,在开始之前,需要安装QSPI Flash子卡 X-EBM-01。

BoardUI要求指定Vivado Lab Edition的目录。电脑没有安装Vivado Lab Edition,只安装了Vivado,因此指定Vivado的目录。

第一次运行,得到错误“IDCODE/SW CHECK: FAILED”,和错误EEPROM。


在目录BoardUI\tests\VCK190\logs下检查详细log,发现信息“IDCODE check PASSED”,和“SW BUILD CHECK: FAILED”。详细log如下:

[INFO : Tue, 11, Jan 2022 16:41:28] Found pass condition:
^IDCODE check PASSED
[INFO : Tue, 11, Jan 2022 16:41:28] Found pass condition:
^Vivado check PASSED
[ERROR : Tue, 11, Jan 2022 16:41:28] Could not find pass condition:
^SW Build check PASSED
[INFO : Tue, 11, Jan 2022 16:41:28] IDCODE STATUS: PASSED
[INFO : Tue, 11, Jan 2022 16:41:28] VIVADO VERSION CHECK: PASSED
[INFO : Tue, 11, Jan 2022 16:41:28] SW BUILD CHECK: FAILED
[TITLE : Tue, 11, Jan 2022 16:41:28] FAILED IDCODE/SW Check at: 2022-01-11 16:41:28.666000
[TITLE : Tue, 11, Jan 2022 16:41:28] Finished
[TITLE : Tue, 11, Jan 2022 16:41:44] BOARD FAILED AT SOME POINT (could simply be a setup issue)

再去在BoardUI\tests\VCK190\idcode\xcvc1902_idcode_check.tcl检查相关代码,发现工具期望的IP版本是"SW Build 3365820"。

if { [string trimright [lindex [split [lindex [split [version] "\n"] 1] "on"] 0]] == "SW Build 3365820" } {
puts "SW Build check PASSED"
} else {
puts "SW Build check FAILED"
}

启动Vivado,检查Vivado版本,发现是“SW Build 3367213”。

Vivado v2021.2 (64-bit)
SW Build: 3367213 on Tue Oct 19 02:48:09 MDT 2021
IP Build: 3369179 on Thu Oct 21 08:25:16 MDT 2021

可以推定,这个错误是由Vivado版本引起的。
单板工作正常,能启动Linux,因此可以安全忽略。

最新文章

最新文章