Vivado

Vivado是赛灵思(Xilinx)公司推出的一款集成开发环境(IDE),用于设计和开发基于FPGA(可编程逻辑器件)的数字电路。Vivado提供了一个全面的工具套件,支持从设计到验证、实现和编程的全过程。

Vivado是一种全面的FPGA设计工具,适用于各种应用,包括通信、图像处理、数字信号处理、网络加速和嵌入式系统设计。

【视频】2019.1 Vivado 新增功能介绍

本视频重点介绍了 Vivado 设计套件 2019.1 版本中的新增功能,包括对操作系统以及器件的支持情况,还有高层次增强功能,以及各种功能改进以加速设计集成、实现和验证的过程

【视频】Xilinx嵌入式软件工具2019.1中的新功能

了解Xilinx嵌入式软件栈和工具在2019.1版本中的新功能和更新。

【视频】Vivado 2019.1 的最新信息

本视频重点介绍了 Vivado 设计套件 2019.1 版本中的新增功能,包括对操作系统以及器件的支持情况,还有高层次增强功能,以及各种功能改进以加速设计集成、实现和验证的过程。

【干货】Vivado 综合崩溃调试指南

要解决任何综合崩溃问题,通常应该从了解崩溃发生在综合的哪个阶段着手,以及工具方面是否有任何迹象指向特定的模块、赋值、声明或推断。如果以下文章无法帮助您解决您查询的问题,请分享在运行文件夹中生成的 hs_pidxxxx.log 文件以及“project_name.runs/synth_1/”目录下的综合日志文件。

【视频】HLS 端口级 I/O 协议

本视频介绍了 Vivado HLS 工具从 C 语言设计中抽象出来的端口级协议。

增量编译(Incremental Compile)提高Vivado编译效率

Vivado® Design Suite 提供两种方法可加速产品上市进程,提高工作效率。可构建 Vivado 布局布线。在任何设计阶段处理任何类型的 ECO 问题,并可加快运行时间,确保时序收敛进程。

深入浅出玩转Xilinx Vivado工具实战设计技巧

为了能让工程师尽快掌握最新的开发工具Vivado,加速产品更新及上市进程,依元素科技推出为期2天的Vivado高级培训班。培训中不仅有丰富的理论知识,还带有配套的动手实验和案例分析,通过理论与实践相结合,使学员能有效快速地掌握基于Vivado开发工具的设计流程及其设计技巧

【视频】Vivado Logic Analyzer 简介

VLA 简介以及调试工具基本组件的逻辑调试优势

【视频】在 Vivado 设计套件项目流程中使用 Tcl 命令

基本 Vivado IDE Tcl 脚本的内容概述

Vivado 2017调用Modelsim仿真

Vivado是Xilinx公司的FPGA开发工具,熟悉Xilinx的工程师应该对ISE比较不陌生,但是随着时代的发展,FPGA芯片进步很快,Xilinx也已经宣布不再对ISE进行更新,这就意味着Vivado将在以后的发展中逐渐取代ISE,所以掌握好Vivavo的使用,是一个FPGA工程师必备的技能。今天的文章主要是讲解怎么调用Modelsim进行仿真