DDR

DDR读写流程与参数

DDR完成上电初始化后,将数据写入DDR要经过如下过程:ACT->WR->PRE

快速上手DDR读写例程——DDR接口专栏(三)

本文将向大家介绍如何使用DDR IP核的Native接口来对DDR进行读写操作

DDR应用中链表的设计

本文重点介绍在FPGA设计中有关链表的方案以及一些难点。

多AXI通道读写DDR的阻塞问题?

基于vivado2020.1和zcu102开发板(rev1.1)开发项目,工程涉及DDR4(MIG)和PL端多个读写接口交互的问题,通过AXI interconnect进行互联和仲裁(采用默认配置)。

FPGA实践教程(八)PS与PL共享DDR

很多时候需要PS与PL共享DDR作为global memory,例如卷积之中,PS将weight in与feature写入DDR,然后PL调用DDR进行运算,再将结果写入DDR进行下一次迭代。

FPGA实践教程(七)运用IPcore调用DDR

目的:直接运用IPcore调用DDR