Vivado使用入门(一)创建工程

文章转载自:FPGA入门到精通

本系列将详细介绍Vivado软件的使用方法,帮助初学者快速掌握AMD(Xilinx) FPGA开发。

将从Vivado的界面介绍、创建工程、设计输入、仿真、综合、实现、生成比特流等方面进行讲解,最后通过一个简单的例子展示完整的FPGA设计流程。

今天先介绍如何创建工程。

一、Vivado介绍

Vivado是AMD(Xilinx)推出的一款集成设计环境(IDE),支持FPGA和SoC的设计、验证和实现。

Vivado采用全新的架构,提供了强大的设计能力、高效的性能和易用的界面。它支持Verilog、VHDL和SystemVerilog等多种硬件描述语言,广泛应用于数字信号处理、嵌入式系统、网络通信等领域。

Vivado支持两种模式:项目模式(Project Mode)和 非项目模式(Non-Project Mode)。

我们常用的是项目模式,这个模式下使用项目文件(.xpr)和目录结构来管理设计源文件、存储不同合成和实施运行的结果,并通过设计流程跟踪项目状态,实现自动化管理。

非项目模式,是将FPGA设计过程运行得更像源文件编译,以便简单地编译源代码,实现设计并报告结果,基于脚本来实现控制和管理。

有关Vivado安装,请阅读:FPGA开发软件(vivado + modelsim)环境搭建(附详细步骤)

二、创建工程

1、启动vivado

通过鼠标点击桌面快捷方式或开始菜单Xilinx Design Tools的 Vivado 2017.4,启动vivado,如下图所示。

01.png

2、打开工程或创建新工程


打开已有工程,可以点击“Open Project”找到到工程目录下的xpr文件,或者点击右侧“Recent Project”下最近打开的项目。

创建新工程,点击“Create Project”,

02.png

弹出“New Project”界面,点击next。

03.png


3、设置工程名称和位置

Project name:工程名称。

Project location:工程保存在电脑的文件路径。

Create project subdirectory: 默认勾选,会在文件路径下,创建一个工程名称一样的子文件夹。

注意:工程名称和文件路径中不能出现中文和空格,要求以字母、数字、下划线来组成。

填写完成后,点击“next”。

04.png


4、创建工程的类型选择

选择RTL Project,并勾选Do not specify sources at thistime,勾选这一项可跳过添加设计源文件的步骤。
最后,点击Next。

05.png


5、选择FPGA器件或评估板

选择使用的FPGA芯片型号,或者选择AMD(xilinx)的官方开发板型号。
这里以“xc7k325tffg676-2”为例,输入FPGA芯片型号,会从vivado软件中已安装的芯片型号自动显示对应的芯片,鼠标点击需要的芯片型号,再点击next。
注意:如果没有找到对应的FPGA芯片,先确认芯片型号是否正确,如果芯片型号正确的话,那就是vivado没有安装这个系列芯片,需要安装,可点击vivado菜单栏中“help”->“Add DesigD Tools or Devicess”。

06.png

6、确认创建工程信息

创建工程的信息总览,如果有错误,就点击Back,找到错误的那一步重新设置,如果所有信息都对,那就点击finish。

07.png

7、进入工程界面

最后打开显示创建的新工程界面。

08.png

最新文章

最新文章