GigE-Vsion

基于FPGA和万兆网的GigE Vison设计方案

本文简要描述基于FPGA和万兆网的GigE Vison IP设计方案。GigE Vison协议基于普通的以太网物理链路,运行在UDP协议层之上,包含控制协议GVCP和数据流协议GVSP两大部分,整个层次结构如下图1所示