FPGA与ADC的SPI配置实战篇(2)——AD9639三线SPI配置

本篇咱们继续以ADI公司的多通道高速ADC—AD9639为实例,向大家演示FPGA是如何通过SPI协议向该ADC读写寄存器配置数据的。如下图所示为AD9639的功能框图,不难发现其SPI接口既可以实现三线模式也可以实现四线模式,本篇将以上篇的4线模式为背景,演示3线模式。

既然是实例操作,就必须与硬件相结合。如下图所示为本人以前设计的一款8通道、150MHz采样频率的数据采集卡:8路模拟信号通过SMA连接头接入、系统时钟采用TI的LMK03系列的时钟控制芯片来产生任意频率的时钟供给FPGA和ADC。配置的工程将采用ISE14.7来建立,配置的代码为verilog。

该款ADC和ADI公司其他ADC的SPI配置方式相同,其数据传输的结构如下图所示:

每次事件传输24bit数据,MSB为读/写控制位,接下来2bit为一次传输数据的大小,一般写0即可,A12-A0为地址位,D7-D0为数据位。

当FPGA向ADC读写配置数据时,就需要完成上图的时序功能,每配置一个寄存器,就执行上图的逻辑功能一次,如果是配置多个寄存器,则反复执行上述逻辑即可。

本次的3线配置逻辑的顶层逻辑接口如下图所示:

这里需要注意的是,配置接口中多了一个Tri_en信号,该信号即为三态口控制信号,三态口的定义如下图所示:

本例程同样实现了3个寄存器的写和读,如下图所示:

当然,配置个数可以任意改动,只要改变Wr_n这个写参数和Rd_n读参数个数即可。RdData1~3为存储读到的3个寄存器的值,方便chipscope观察。

根据AD9639的手册说明,当配置WrtieReg1后,该寄存器地址读到的数据应该为8’h18;当配置WrtieReg2后,该寄存器地址读到的数据也应该为8’h01;同样读芯片的ID号,结果应该与手册给的8’h29一致。这里大家如果不太清楚,可以查阅芯片手册的配置地址和数据部分章节,里面已经详细说明。

接下里咱们就说下如何用代码具体实现读写过程,首先咱们先介绍FPGA如何向ADC写入配置数据,请看如下代码:

该代码目的是实现一个24bit的寄存器地址+数据的写入,但是咱们要写3个寄存器的数据,而有的ADC可能需要写入更多的配置数据,总不能将代码复制很多遍吧,那样效率太低了,这里咱们就用了一个循环,里面的n值就代表写入的个数,另外注意此时三态控制信号为1,表示FPGA的SDIO此时为输出口,具体如何循环请看如下代码:

该段代码就实现了循环写的功能,因此整个ADC的配置过程只需要4个状态,即可完成全部的配置,是不是很方便呢?读者可以看到,三线SPI的写逻辑和四线SPI的写逻辑其实是一样的~

接下来咱们将写入ADC的数据再读出来看看是否配置正确吧,请看如下代码:

上面的代码就实现了1次寄存器的写地址操作,咱们需要读3个寄存器的值甚至更多的时候,不可能一次次的复制写寄存器操作,所以这里也用循环的操作,使代码尽可能简洁,下图代码的功能就是最重要的取数据操作了,上图写完地址后,FPGA的三态控制需要改变为输入,接受来自ADC的寄存器数据:

循环m次后,将得到m个寄存器的值,这个例程里是3个值,将这3个值与预期值比较,如果相同,则配置成功,如果不同,则说明哪地方出问题了,需要仔细检查~如下图所示:

这样3线SPI的配置过程就完成了,总共用了15个有效状态。用上面介绍的代码在ise14.7上运行,并下载到电路板上,咱们观察chipscope吧!

如下图所示为分别读写3个寄存器的时序图,可以看到最终咱们读到的寄存器值分别为8’h18、8’h29、8’h01,与预期值完全一致,配置成功!

咱们再看看逻辑实现的写过程的具体时序图吧,下图所示为写WrtieReg2的实际时序,写入的数据为8’h01:

咱们再看读该寄存器(RdData3)的时序图,如下图所示:可以看到读到的数据也为8’h01,OK!这里没有加入sdin的原因是由于chipscope根本找不到该信号,被优化了,sdin和sdout其实都是SDIO信号,所以ise在综合过程中只保留了sdout,但是咱们还是可以从下图中看到RdData3的变化情况。

AD9639的3线SPI实际操作就这样完成了,本篇文字性的说明比较少,主要是以代码的形式给出,每条代码都给出了我的详细注解,大家很容易理解的~

下一篇本人将以ADI的另一款多通道高速ADC芯片-AD9249介绍其配置方式,该芯片只有3线SPI配置模式,该实现咱们将用kintex7 FPGA以及vivado来实现,代码上和ISE实现稍微有些区别,会更简单一些~
---------------------
文章来源:XiaoQingCaiGeGe的博客
声明:本文由原创博主授权转发,如需转载请联系博主

最新文章

最新文章