关于Vivado的综合设置使用总结

作者:FPGA奋斗者,文章来源:FPGA奋斗者的博客

1、综合-优化资源

当选择为none,综合器优化的最少,当选择为full时,综合器优化的最多,选择rebuilt时,工具自动选择一个折中的方案,对当前工程做优化。如果在rebuilt的选项不希望一些信号被优化,则可以调用原语进行约束。
对于模块,想保留该模块的层次结构
(* keep_hierarchy=“yes” )
对于信号,在实际使用中,最好作用于寄存器,有的wire即使约束,也会被优化。
( keep=“true” )

2、综合-资源共享

当选择为on时,使能资源共享,当选择为off时,关闭资源共享,当选择为auto时,综合器会根据时序的余量自动选择是否启动资源共享。
注意,此此选项只对当前代码中加减乘有影响。

3、综合-扇出限制

默认是10000,这只是一个笼统的全局设置,对复位无效。
对于单一信号的扇出约束,使用约束
( max_fanout= *)

4、状态机的编码方式

当选择为auto时,综合器会根据当前的代码,自动选择最有的编码方式。

最新文章

最新文章