彩色图像灰度化

【FPGA图像处理实战】- 彩色图像灰度化

今天介绍一下图像处理中的基础算法--彩色图像灰度化。