GTM收发器

112Gbps GTM收发器的PCB通道设计指南

本文详细介绍了高速串行数据传输的印刷电路板(PCB)通道设计要求

112G PAM4 收发器及其性能

该演示展示了测试芯片收发器的基本工作情况,用一款 GUI 显示线路速率、均衡值和误码率(显示的性能比适用规范的要求高出几个数量级)。有了基于 ADC 的高级接收器和在 DSP 中实现的 DFE/FFE,该测试芯片和 GTM 收发器可支持各种协议,从超低损耗 OIF-CEI-112G-XSR 到诸如 100GBase-CR4 等高损耗线缆互连,不一而足。

UltraScale+ GTM收发器向导产品指南

Virtex UltraScale+ 可编程门阵列传输向导IP核可帮助配置一个或多个串行收发器。您可以从头开始,输入您的需求,并生成有效的配置。灵活的向导为收发器、配置选项和您选择的启用端口生成一个定制的IP核,

【视频】Xilinx 在两个通道上展示了具有 100G 以太网的 58G 驱动 GTM 收发器

通过 Xilinx 58G 驱动 GTM 收发器和 Virtex UltraScale+trade; 集成强化 100G 以太网子系统,在两个通道上演示 100G 以太网。该视频概述了 GTM 收发器如何使传统硬件支持 58G PAM4

【视频】Xilinx 在 XDF 2018 (硅谷场)上演示了在原有 100G 铜箔上驱动 58G PAM4 的 Virtex UltraScale+ GTM 收发器

Virtex UltraScale+ 58G PAM4 FPGA 能够在最具挑战性的信道上传输和接收数据。在 XDF 硅谷场的现场演示中,通过超过 5 米的 QSFP28 直接连接铜线传输了 58Gb/s 的信息,由 GTM PAM4 收发器接收。经过该加强通道并在收发器最大速率下运行后,在 XDF 上经过几天的现场运行,没有发现前向纠错后的错误。