MIPI-DSI

MIPI-DSI概述

新的DSI-2协议定义了两个高速串行数据传输接口选项

基于 Xilinx Spartan-6 FPGA的MIPI DSI 显示器控制器

MIPI DSI 是一种基于数据包的高速接口,用于将视频数据传送到 LCD/OLED 显示器。在某种程度上,它类似于 DisplayPort,具有更节能(因此更复杂)的物理层