UltraScale

UltraScale是赛灵思(Xilinx)公司推出的FPGA(现场可编程门阵列)产品系列,是赛灵思公司在FPGA领域的一项重要技术创新。UltraScale系列采用了先进的制程技术和架构设计,旨在提供更高的性能、更大的逻辑容量、更低的功耗和更高的集成度,以满足不同领域的高性能计算和加速应用需求。

Zynq UltraScale+ MPSoC – IPI在异构多核中的应用

本篇将讨论MPSoC中IPI的应用。首先澄清这里的IPI不是小伙伴们熟知的Vivado IPI Design Flow的IPI(IP Integrator),而是Inter-Processor Interrupt,是MPSoC中用来在异构多核系统中以中断的形式实现小批量信息交互的结构单元。

【下载】UltraScale 架构和产品简介

Xilinx® UltraScale™ 架构包含高性能FPGA,MPSoC和RFSoC系列,可满足广泛的系统要求,其重点是通过众多创新技术进步来降低总功耗。

利用 UltraScale+ 器件中的 PCI Express Gen3 集成块内置解扰器模块解开 PIPE 接口包的神秘面纱

PIPE 接口上的数据在 Gen3 的速度下被加密。当调试 PCIe 问题时,能在 PCIe 链接上查看各个包会很有帮助。若要实现此目的,用户需拥有协议链接分析器。由于其成本较高,能接触到此等设备的用户不多。随协议链接分析器提供的包分析工具很广泛,可对链接流量进行深入分析。

DAC 2019 | 西安交大获得DAC快速目标检测竞赛国内第一,全球第二

Design Automation Conference 自动设计大会是全球久负盛誉的产学研交流盛会,也是计算机学会推荐的A类会议之一。2019年第56届DAC大会在拉斯维加斯举行,Xilinx将平台升级到了支持Pynq框架的Ultra96,该平台搭载了Xilinx UltraScale+ ZU3器件。

【免费在线培训】使用UltraScale架构进行设计

本课程向新老设计人员介绍了 UltraScale™ 器件架构的最新内容,包括介绍全新CLB资源、时钟管理资源(MMCM 和 PLL)、全局和区域时钟资源、存储和DSP资源、源同步资源及IO接口模块特性。 同时,也阐述了如何将原有设计移植到最新UltraScale器件架构上

【视频】UltraScale 架构收发器

回顾 UltraScale 架构中的收发器增强特性。