Vivado

Vivado是赛灵思(Xilinx)公司推出的一款集成开发环境(IDE),用于设计和开发基于FPGA(可编程逻辑器件)的数字电路。Vivado提供了一个全面的工具套件,支持从设计到验证、实现和编程的全过程。

Vivado是一种全面的FPGA设计工具,适用于各种应用,包括通信、图像处理、数字信号处理、网络加速和嵌入式系统设计。

如何通过 XDC 使用数据初始化 Block RAM?

我们如何通过 XDC 使用数据初始化 Block RAM?

如何在Vivado 综合为 Verilog "include" 文件定义正确的路径

如何在Vivado 综合为 Verilog "include" 文件定义正确的路径。可使用以下方法定义包含文件的位置:

如何在 Vivado XSIM 中创建 .vcd 文件?

如何在 Vivado XSIM 中创建 .vcd 文件?以下为生成 .vcd 文件的步骤:

如何为 Vivado 项目中的 IP 核生成结构仿真模型?

当从 Vivado 项目中的 IP 目录生成 IP 核时,似乎只能生成默认的行为仿真模型,而无法生成结构仿真模型。如何才能转换成结构模型?

Dynamic Function eXchange

了解 Xilinx 如何通过 Vivado ML 中的动态可重配置功能助力实现资源的高效利用。

Vivado时序 - 什么是 TNS、WNS、THS 和 WHS?

运行“report_timing” 或 “report_timing_summary” 命令后,我注意到 WNS、TNS、WHS 和 THS。什么是 WNS、TNS、WHS 和 THS?

Vivado IP中的Shared Logic到底是干嘛的?

在很多Vivado的高速接口的IP中,比如Ethernet、PCIe、SRIO的设置中,都会有个Shared Logic的页面。可能很多同学并没有很关注这个页面,直接默认设置就完事了。但其实这个页面的内容也是非常有用的,我们可以看到页面中有两个选择:

Vivado Design Suite用户指南:实现 (v2021.1)

本文记录了Vivado®使用设计运行策略和单个实现命令进行放置和路由的实现功能。详细介绍了用于快速修改现有设计的增量编译流程,以及对信号路由路径进行精确控制的手动路由方法。

开发者分享 | 使用方法论报告 1:时序已满足,但硬件功能出现错误

本篇博文中的分析是根据客户真实问题撰写的,该客户发现即使时序已得到满足的情况下,硬件功能仍出现错误。最后发现,问题与时钟域交汇 (Clock Domain Crossing) 有关,因此,本篇博文介绍了如何调试设计中的时钟域交汇问题。

开发者分享 | 约束调试案例分析-如何判断路径的 timing exception 约束来自哪里?

随着设计复杂度和调用IP丰富度的增加,在调试时序约束的过程中,用户常常会对除了自己设定的约束外所涉及的繁杂的时序约束感到困惑而无从下手。举个例子,我的XDC里面并没有指定set_false_path,为什么有些路径在分析时忽略了?我怎么去定位这些约束是哪里设定的?本文结合一个具体案例,阐述了如何追溯同一时钟域内partial false path的来源,希望为开发者的设计调试提供一些技巧和窍门。