Vivado

Vivado 是赛灵思(Xilinx)公司推出的一款综合性的设计工具套件,用于 FPGA(现场可编程门阵列)和 SoC(系统级芯片)的设计、开发和验证。它提供了一系列功能强大的工具,帮助工程师在各种应用领域中实现高性能、低功耗的硬件设计。

vivado使用——如何用脚本添加文件

在使用vivado的过程中,如何添加设计文件?

Vivado从此开始(进阶篇)读书笔记-RAM的三种工作模式

书本中对于RAM的三种操作读优先级、写优先和保持使用等效的verilog来描述

Vivado中的Elaborate是做什么的?

在Vivado的界面中,有个RTL ANALYSIS->Open Elaborated Design的选项,可能很多工程师都没有使用过

Vivado从此开始(进阶篇)读书笔记——综合阶段相关知识点

对控制集百分比的说明(适用于7系列FPGA和UltraScale FPGA)如下表

Vivado 中ibert的使用

通过Vivado 自带的ibert工具可以对FPGA的GT进行板机的硬件调试。

Vivado non-project模式示例

vivado有project模式和non-project模式,project模式就是我们常用的方式

linux vivado安装时卡在最后一步解决方案

在ubuntu上安装vivado2021.1时,一直卡在最后一步:generating installed device list

FPGA开发技巧备忘录——Vivado 自动日期版本号

我们在编译FPGA工程的时候一般需要对版本号的更新,一般来说都会有一个日期或者时间的版本标识

如何阅览vivado工程的时序分析报告——建立时间

本篇文章我们将通过vivado工程实例来向大家介绍如何读懂时序分析报告。

如何在vivado环境下利用RS IP核实现RS码的编译码

RS码是一种常用的纠错编码,本文主要介绍如何在vivado环境下利用RS IP核实现RS码的编译码。