IP核

数字信号处理(三):Xilinx FFT IP核详解(三)

我们在利用FFT IP核进行FPGA设计时,需要理解FFT相关的操作理论,比如FFT蝶形运算带来的位宽扩展

手撕IP核系列——Xilinx FIFO IP核-异步FIFO

以前从来没有这么细扣过,认识比较肤浅,通过几天对Xilinx IP核的仿制,对异步FIFO有了更深刻的认识。

数字信号处理(二):Xilinx FFT IP核详解(一)

Xilinx LogiCORE IP快速傅立叶变换核实现了Cooley-Tukey-FFT算法,这是计算离散傅立叶变换(DFT)的有效方法

手撕IP核系列——Xilinx FIFO IP核-同步FIFO

同步FIFO中的一些关键信号说明

手撕IP核系列——Xilinx FIR IP核之一

在Xilinx和Intel平台的FPGA中提供了给我们各种各样的IP核,这些IP核都是厂家的成熟设计,使用起来也非常的方便

Xilinx:K7 DDR3 IP核配置教程

MIG IP控制器是Xilinx为用户提供的一个用于DDR控制的IP核,方便用户在即使不了解DDR的控制

RAM IP核简介及实验

一片RAM中分为许多小格,每一片容量为36k,根据设定的位宽决定了这片RAM可以存放分为几格

Vivado的DDS IP核使用以及混频操作

本实验要完成利用vivado中的DDS IP核对两个正弦波进行混频,实现数字下变频或者上变频。

从底层结构开始学习FPGA----FIFO IP核及其关键参数介绍

本文对xilinx FIFO IP的参数做详细解读

从底层结构开始学习FPGA----Xilinx ROM IP的定制与测试

本文介绍一种在FPGA开发中非常常用的存储类 IP 核——ROM 的使用方法。